2021年10月13日水曜日

verilog : Vivado GUI でのシミュレーション手順

目的:

 Vivado (GUI) での シミュレーション手順を記す。

手順:

  1. vivado 起動
  2. プロジェクトの作成
    1. Create Project  又は  File → Project → New を押下
    2. 「Create a New Vivado Project」 ウィンドウが開いたら Next 押下
    3. 「Project Name」 ウィンドウで Project 名 と 保存先 を入力して Next 押下
    4. 「Project Type」 ウィンドウで "RTL Project" を選択 して Next 押下
    5. 「AddSources」 ウィンドウでは そのまま Next 押下。
        (ソースは後で追加/作成)
    6. 「Add Constraints(optional) 」 ウィンドウでは そのまま Next 押下。
        (制約は後で作成)
    7. 「Default Part」 ウィンドウで 使用する FPGA を選択し、Next を押下。
    8. 「New Project Summary 」 ウィンドウで 内容を確認し、Finish を押下する。
  3. ソースコードの作成/追加
    1. Flow Navigator (左側) の  "PROJECT MANAGER" 内の Add Sources  をクリック
    2. 「Add Sources」ウィンドウで Add or create design sources を選択して Next を押下
      既にシミュレーション用のソースがある場合
      1. "Add Files" を押下
      2. 「Add Source File」 ウィンドウで RTL を選択し、OK を押下する。
      3. "Copy sources into project" 等、必要に応じてチェックし、Finish を押下する。
      新規にソースを作成する場合
      1. "Create Files" を押下
      2. 「Create Source File」ウィンドウで File name を 入力し、OK を押下
      3. Finish を押下
      4. 「Define Module」ウィンドウ で Module name, I/O ポートを入力し、OKを押下。(I/O ポートは、最低限(clk,rstのみ)で可。無しでも可。後でソース修正。)
  4. テストベンチの作成/追加
    1. Flow Navigator (左側) の  "PROJECT MANAGER" 内の Add Sources  をクリック
    2. Add Sources」ウィンドウで Add or create simulation sources を選択して Next を押下
      既にシミュレーション用のソースがある場合
      1. Add Files を押下
      2. 「Add Source File」 ウィンドウで RTL を選択し、OK を押下する。
      3. "Copy sources into project" 等、必要に応じてチェックし、Finish を押下する
      新規にソースを作成する場合
      1. "Create Files" を押下
      2. 「Create Source File」ウィンドウで File name を 入力し、OK を押下
      3. Finish を押下
      4. 「Define Module」ウィンドウ で Module name, I/O ポートを入力し、OKを押下。(I/O ポートは、最低限(clk,rstのみ)で可。無しでも可。後でソース修正。)
  5. 論理シミュレーション
    シミュレーションの実行
    1. シミュレーション実行
      Flow Navigator (左側) の  "SIMULATION" 内の Run Simulation  をクリックし、
      "Run Behavioral Simulaion" を選択
      • シミュレーションが規定時間分 実行される。( default 1000 nS )
        規定時間は、"Tools"-"Settings…" の 左側 "Simulation" を選択し、右側 "simulation"タブの
        "xsim.simulate.runtime" で 設定する。
      • コンパイル等でエラーが発生した場合、画面下方の 「Tcl Consoke 」を選択してエラー内容の確認/修正を行い、保存後、再度  "Run Behavioral Simulation" を実行する。
      • シミュレーションが実行されると、画面右側に波形が表示される。
        ( $finish()等で停止した場合は ソースコードが表示される。
        この場合、Untitled タブを選択すると 波形が表示される )
    2. 最後まで実行
      "Run All" (メニュバー "Run"  又は アイコン) で 最後まで シミュレーションを実行する。
    その他、シミュレーションについて
    • 表示波形の追加
      Scope ウィンドウで 表示したいモジュールを選択し、Objects ウィンドウで 信号を選択して ドラッグアンドドロップ で 波形ウィンドウに追加する。
      追加した信号の波形表示をする為には再度シミュレーションを実行する必要がある。
    • シミュレーション再実行
      "Restart " (メニュバー "Run"  又は アイコン) で シミュレーション結果をクリアし、
      "Run All" (メニュバー "Run"  又は アイコン) で 最後まで シミュレーションを実行する。
    • 波形表示信号の保存
      "Save Waveform Configuration" (アイコン) で 波形表示設定ファイルを保存する。
    • 再コンパイル
      ソースコードやテストベンチ修正して、再度コンパイルを実行する場合
      "Relaunch simulation " (メニュバー "Run"  又は アイコン) で コンパイルから再実行する。
    • シミュレーション結果がおかしい場合
      ソースコードを修正したり、ソースファイルを削除した後等に 削除したはずの信号が残っている等、結果がおかしい場合、
      "Settings" の 左側 "Simulation" を選択し、右側 "Advanded"タブの
      "Enable incremental compilation" のチェックを外し、再コンパイルしてみる。

0 件のコメント:

コメントを投稿