2019年6月16日日曜日

verilog : コンパイル/シミュレーション環境のインストール (WSL)

目的: 

PC(WSL) 上で Verilog の コンパイル、シミュレーションができる様にする。

インストール内容:

WSL (Debian) 上に Icarus Verilog と gtkwave をインストール。
gtkwave は、GUI の為、事前に Xサーバーのインストールが必要。

インストール手順:

以下を実行する。
sudo apt-get update
sudo apt-get install iverilog
sudo apt-get install gtkwave

gtkwave のインストールに少し時間がかかるが、特に問題なくインストール完了。

実行手順:

以下にコンパイルから波形表示までの手順を簡単に記す。
  • コンパイル
    iverilog -o <出力ファイル名> <Verilog ファイル名>


    iverilog -o test testbench.v test.v
  • シミュレーション実行
    vvp <出力ファイル名>


    vvp test
  • 波形表示
    gtkwave <vcdファイル名>


    gtkwave test.vcd

その他:

gtkwave を実行すると、

(gtkwave:656): GConf-WARNING **: Client failed to connect to the D-BUS daemon:
/usr/bin/dbus-launch terminated abnormally without any error message
GConf Error: No D-BUS daemon running
 

が発生するが、取り敢えず波形は見れそう。



0 件のコメント:

コメントを投稿